Performance Characterization of Java Applications on SMT Processors 

Wei Huang, Jiang Lin, Zhao Zhang, and J. Morris Chang

In the Proceedings of 2005 IEEE International Symposium on Performance
Analysis of Systems and Software (ISPASS 2005), pp. 102-111, March
20-22, 2005, Austin, TX.
PDF


Abstract 

As Java is emerging as one of the major programming languages in
software development, studying how Java applications behave on recent
SMT processors is of great interest. This paper characterizes the
performance of Java applications on an Intel Pentium 4 Hyper-Threading
processor. Using the performance counters provided by Pentium 4, we
quantitatively evaluate micro-architecture metrics while running various
types of Java applications. The experimental results reveal that: (1)
Hyper-Threading can indeed improve the performance of multithreaded Java
programs; (2) The resource contentions within Pentium 4 are the major
reason of pipeline inefficiency, which prevents better performance
promised by SMT; (3) The static partition design of Hyper-Threading
causes considerable performance loss for many single-thread Java
programs; (4) Most multiprogrammed Java benchmarks can achieve decent
combined speedups on Hyper-Threading processors.