Breaking Address Mapping Symmetry at Multi-levels of Memory Hierarchy to Reduce DRAM Row-buffer
Conflicts

Journal of Instruction-level Parallelism, Vol. 3, October 2001.

Zhao Zhang Zhichun Zhu Xiaodong Zhang

Abstract

DRAM row-buffers have become a critical level of cache in the memory hierarchy to exploit spatial locality in the cache miss stream. Row-buffer conflicts occur when a sequence of requests on different pages goes to the same memory bank, causing higher memory access latency than requests to the same row or to different banks. In this study, we first show that the address mapping symmetry between the cache and DRAM is the inherent source of row-buffer conflicts. Breaking the symmetry to reduce the con°icts and to retain the spatial locality, we propose and evaluate a permutation-based page interleaving scheme. We have also evaluated and compared two representative cache mapping schemes that break the symmetry at the cache level. We show that the proposed page interleaving scheme outperforms all other mapping schemes based on its overall performance and on its implementation simplicity.